Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

See VHDL variables in questasim

Status
Not open for further replies.

Binome

Full Member level 3
Joined
Nov 16, 2009
Messages
152
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Location
Lyon, France
Activity points
2,405
Hi,
I've got a problem to see a variable in Questasim.
The simulation files can be downloaded on http://keccak.noekeon.org/KeccakVHDL-3.1.zip
I'm trying to simulate KeccakVHDL-3.1\mid_range_core\tb_keccak and look at the "temp" variable in the p_main process but Questa is not showing it anywhere. Does someone know why?
Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top