Rules of Thumb or Recipe for Setting Input and Output Delays + Propagation Delay

Status
Not open for further replies.

csarami

Newbie level 4
Joined
Oct 22, 2017
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
45
Hi,

On page https://billauer.co.il/blog/2017/04/io-timing-constraints-meaning/ we read that

Code:
In short,
set_input_delay -clock … -max … : The maximal clock-to-output of the driving chip + board propagation delay
set_input_delay -clock … -min … : The minimal clock-to-output of the driving chip. If not given, choose zero (maybe a future revision of the driving chip will be manufactured with a really fast process)
set_output_delay -clock … -max … : The t_setup time of the receiving chip + board propagation delay
set_output_delay -clock … -min … : Minus the t_hold time of the receiving chip (e.g. set to -1 if the hold time is 1 ns).

Note that if neither -min or -max are given, it’s like two assignments, one with -min and one with -max. In other words: Poor constraining.

It is emphasizing that we must Always constraint both min and max.



Assuming above scenario ( picture attached above). What is the formula for setting min and max constraint for my chip in the middle in terms of the timing requirements receiving chip and driving chip?

How do we calculate the propagation delay of our chip?

I do appreciate it if you clarify this.

CS
 


I just got it. The post corresponds to FPGA. The answer to ASIC is very simple and is common sense. min input_delay for receiving module ( ( say HDL module))= min of all output delays from the last flip flop of the connecting modules to the inputs of the receiving module, etc. So in the case, one would need to draw the design and find the input and outputs of the connecting module.
 


you are right and wrong. what you said applies for block design, where you will be interfacing with blocks created by other people and you don't know how late signals arrive and how much load you have. most blocks will provide only sequential outputs, so the criticality of this is small -- if any.
the typical use case for these sdc constraints is for chip level constraints.
 


Thank you very much. I guess, I will understand this fully after I gain real experience with them
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…