[RTL]Wait statement in RTL

Status
Not open for further replies.
Normal RTL in this context is behavioural Code.
All behavioural code is not synthesizable.
 

What do you mean by normal RTL?
Simulators understand wait statements where as synthesis tools does not.
 

The VHDL could be used to code the test bench and the wait statement is usefull. When the VHDL code is used to be synthesize for a flag or ASIC, wait statement must not be used, or could not be transform into logic.
 

What do you mean by normal RTL?
Simulators understand wait statements where as synthesis tools does not.

I know that the RTL is always synthesizable.
But see the linkhttps://www.edaboard.com/threads/265918/
In this link Dave_59 sir told that We can write the RTL which is not always synthesizable.SO I am little bit confusing.
 

@Dave: Can me please tell me the tools that support wait statement for synthesis.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…