Required : Book on Assertion

Status
Not open for further replies.

natg9

Member level 3
Joined
Jul 17, 2008
Messages
56
Helped
7
Reputation
14
Reaction score
0
Trophy points
1,286
Activity points
1,588
psl property specification language

Hi all

i am looking for some books on System Verilog Assertions and PSL [property specification Language ]

kindly post some links to download relevant material and ebooks

thanks

regards
natg
 

sva tutorial

system verilog for assertion is good for you to use!
 

Thanks ljxpjpjljx


but i m looking for ebooks on SVA and PSL both

i have decided on the language
help me with some links to relevant material


regards
natg
 

natg9 said:
Thanks ljxpjpjljx


but i m looking for ebooks on SVA and PSL both

i have decided on the language
help me with some links to relevant material


regards
natg

You may want to look at my PSL tutorial @ www.project-veripage.com. You will also find SVA tutorial (though I didn't write that). As a side note, I have co-authored books on PSL & SVA, no e-book version, though some parts of it visible via google books site. And last but not least my company offers training on these that include a full project to make you ready for real life ABV usage, you can contact cvc.training <> gmail.com if interested.

Regards
Ajeetha, CVC
www.noveldv.com
 

Hi Ajeetha

i have already been through your link
also i have seen the pages of the book

i am certainly not interested in the training but yes i wish to know more about the book

please provide me the details of the book and the price


regards
natg
 

Hello Madam Ajeetha,


Can i know more details of SV course u undertake. mainy the amount u charge for it

Thank you

Pradeep
 

pradeepkumar481 said:
Hello Madam Ajeetha,


Can i know more details of SV course u undertake. mainy the amount u charge for it

Thank you

Pradeep

Kindly send an email to: training <> cvcblr.com or cvc.training@gmail.com.

Contact Jagadeesh @ 080-42134156, 9620209223 or Bagath via: 9916176014 for exact pricing details. For others, you may also want to read:

Regards
Ajeetha, CVC
www.cvcblr.com
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…