P
Pti_Biscuit22
Guest
Problem with ModelSim
Hello everyone,
I am simulating a reconfigurable network with ModelSim. I described this one in VHDL. In order to ease the coding step, I had previously described a generic multiplexer which code is presented below :
It seemed to be ok until I started the simulation with ModelSim. It stops when it reads the line "tmp(i) <= Input((i+1)*N-1 downto i*N)...". I don't understand where is the problem because it worked with ModelSim 6.3g (I am using the 6.1b version right now). If you have any idea ? Thanks for your help.
Best regards,
Erwan
Hello everyone,
I am simulating a reconfigurable network with ModelSim. I described this one in VHDL. In order to ease the coding step, I had previously described a generic multiplexer which code is presented below :
Code:
entity GENMUX is
generic ( M : integer := 4;
N : integer := 32
);
port ( Input : in std_logic_vector(M*N-1 downto 0);
Sel : in std_logic_vector(LOG2(M)-1 downto 0);
Output : out std_logic_vector(N-1 downto 0)
);
end GENMUX;
architecture flot of GENMUX is
subtype mot is std_logic_vector(N-1 downto 0);
type t_mot is array(0 to M-1) of mot;
signal tmp : t_mot;
begin
tmp(0) <= Input(N-1 downto 0);
MUX_chain : for i in 1 to M-1 generate
tmp(i) <= Input((i+1)*N-1 downto i*N) when (conv_integer(Sel) = i) else tmp(i-1);
end generate MUX_chain;
Output <= tmp(M-1);
end flot;
It seemed to be ok until I started the simulation with ModelSim. It stops when it reads the line "tmp(i) <= Input((i+1)*N-1 downto i*N)...". I don't understand where is the problem because it worked with ModelSim 6.3g (I am using the 6.1b version right now). If you have any idea ? Thanks for your help.
Best regards,
Erwan