real an image in vhdl or verilog

Status
Not open for further replies.

cyclon786@gmail.com

Newbie level 3
Joined
Nov 25, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,302
read an image in vhdl or verilog

Hi

i am working on FPGA.
As i am new in vhdl so i do not know how to deal with images in vhdl.
i want to read an image that is already stored in memory.
please can you help me how i can read an image in vhdl or verilog ?

Thank you
Rizwan
 
Last edited:

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…