reading a file in verilog using $fgetc

Status
Not open for further replies.

sagar_saga01

Newbie level 5
Joined
Mar 10, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,343
verilog fgets

Hello,
I am writing code for text compression in verilog.
I am struck at initial phase.
How can i read a long text file in verilog , other than using the {readmemh} statement.
because it has the limitation of perticular memory size.but I want to read a text file of 156kB.

I used the c=$fgetc( fp) statement but it is showing error that

Name 'fgetc' could not be resolved
Illegal right hand side of blocking assignment


Please give some suggestion

Thanks
Sagar
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…