Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

question of testbench

Status
Not open for further replies.

sally wang

Newbie level 5
Joined
Jan 2, 2008
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,336
when write a testbench of VHDL, why the generated waveforms become red, and all values appear 'U'? Is there any declaration missed? Thanks
 

please post your program... the U denotes that your signal is undefined....
 

I've already figured out the problem. It's bcoz I selected the vhdl file but not the test-bench file to generate behavioral model, so that it's undefined. Thank you for your concern!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top