question about verilog $fwrite task??

Status
Not open for further replies.

leeguoxian

Member level 3
Joined
Jun 20, 2006
Messages
66
Helped
6
Reputation
12
Reaction score
2
Trophy points
1,288
Activity points
1,778
verilof fwrite

Dear all :

I'm using $fwrite to write a binary file in vcs simulation enviorment.

$fwrite(fd,"%c%c%c",8'H42,8'H4D,8'H00);

but the output file come out to be : 0x42 , 0x4D, 0x20 ;

why I can not write 0x00 to a binary file using $fwrite in VCS enviorment ???
It's all right when I use ncverilog !

why ????
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…