Question about the constrait of output clock in source-synchronous outputs interface

Status
Not open for further replies.

LAORUAN

Newbie level 5
Joined
Nov 13, 2014
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
83
hello, every one.

My question is as follow:

Code:
#**************************************************************
# Create Clock
#**************************************************************

create_clock -name {iCLK} -period 20.000 -waveform { 0.000 10.000 } [get_ports {iCLK}]
create_clock -name {CLK_Virtual} -period 20.000 -waveform { 0.000 10.000 } 


#**************************************************************
# Create Generated Clock
#**************************************************************

create_generated_clock -name {CLK_50M_180} \
    -source [get_pins {DDS_u0|PLL_50M_u0|altpll_component|auto_generated|pll1|inclk[0]}] 
    -phase 270.000 [get_pins {DDS_u0|PLL_50M_u0|altpll_component|auto_generated|pll1|clk[0]}] 

create_generated_clock -name {DAC_Output_clk} 
    -source [get_pins {DDS_u0|PLL_50M_u0|altpll_component|auto_generated|pll1|clk[0]}]  \ 
    [get_ports {oDAC_CLK}]


I think I have constraint the output clock, but why does Timequest still remind that the output ports is not constraited.

Thank you!
 

What does output ports have to do with the clock?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…