PWM Encoder (in VHDL)

Status
Not open for further replies.

PWM_encoder

Newbie level 1
Joined
Sep 23, 2005
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
pwm encoder

Hi! I don't have a background on VHDL/FPGA until last month when i started to self-study because there is a design contest in our school. Part of the contest is designing a PWM Encoder having 8 bits of input from an ADPCM Decoder and the PWM Encoder is fed with a PCM. The output of the PWM Encoder is also 8 bit. I encountered a program in the net for a PWM Encoder and i can't quite comprehend what most of it is about, which parts fit my specs and all that... can someone help me please?

 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…