PSL equivalent for SVA action block

Status
Not open for further replies.

Arturi

Member level 2
Joined
Sep 13, 2006
Messages
52
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,288
Activity points
1,617
french equivalent of sva

Hello all,

my verilog testbench has a global variable that counts the number of errors during a simulation. Every time an error is detected during the simulation the global variable gets incremented. At the end of the simulation, the testbench checks this variable to decide if the simulation is a PASS or a FAIL.

This works fine for HDL checkers but not for PSL assertions.

How can I increment this global variable when a PSL assertion fires?

SVA supports this with action blocks. Does PSL has something equivalent? I read something about a ended() construct but still not sure how to use it.

Any feedback is welcome.

cheers
 

Yes sir!
So I use verilog hierarchical probes to tap the nets no matter where I am in the design.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…