Program Error:unhandled pattern type while reading external VCDE pattern in TetraMax

Status
Not open for further replies.

edab_johnhsu

Newbie level 1
Joined
Jun 4, 2018
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
8
Hi, all :

I intent to read external functional patterns in VCDE format by TetraMax, but there are error messages as follow :

"Error: Program Error: unhandled pattern type=0 in VCDE flush routine "

The VCDE external pattern is created by the vcs system task $dumpport :

Code:
"$dumpports(top_module_name, "file_name",,2);

and the TetraMax command to read it is :

Code:
"set patterns external file_name.vcde -vcd_clock auto -strobe rising CLK -strobe offset 70 ns"

Would you please advise me of how to fix it ~~~ !

Thanks very much ~~

Best Regards
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…