Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with Xilinx DCM and FSX output

Status
Not open for further replies.

tlp71@hotmail.com

Full Member level 4
Joined
May 14, 2002
Messages
220
Helped
6
Reputation
12
Reaction score
2
Trophy points
1,298
Activity points
1,596
Hi to all.
I am try to using the DCM in VII or VIIPro, i would use only the FSX output to multiply my low in clock.
Xilinx said that this is possible and if intantiating only the DCM whi FSX output.
I try but the simulatuion also post layout work fine but really not work.
Any help or suggestion?
Thanks to all.
Bye
 

xilinx dcm data sheet

what was your clock speed at simulation and at HW test
 

how to use xilinx dcm

i use a 9 mhz clock in to multipèly for a 4 foactor at 36.
Data sheet said that this frequency range is ok
 

xilinx dcm

You can check if the DCM really generate the desired clock using a boundary scan and the chipscope pro, if you have it
 

using xilinx dcm

Are you sure Xilinx DCMs accept 9 MHz clock inputs??
Asfar as I remember, minimum CLKIN at low frequency mode is 24MHz.
 

xilinx dcm datasheet

Use architecture wizard to generate DCM code. If it can generate correctly, it should work on real board.
 

dcm reset xilinx

Please check the DCM's reset and clock's skew.
 

dcm xilinx

pay attention to it_boy's comment and Xilinx datasheet, the input clock should be higher than 24MHz.
 

dcm xilinx low frequency mode

Yeah, 24MHz, Even I am using 24.576MHz clock input, my FSX outputs are sometimes stable, sometimes not.

That's the real story of mine!

If your input can only be 9MHz, I think you can try Altera's PLL...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top