Problem on Active-HDL 6.2

Status
Not open for further replies.

eexuke

Full Member level 4
Joined
Mar 25, 2004
Messages
196
Helped
10
Reputation
20
Reaction score
3
Trophy points
1,298
Activity points
1,934
active hdl 6.2

Currently when I am simulating my design in Active-HDL 6.2, I have met a fatal error:
"Delta count overflow - stopped. Try to increase the iterations limit in simulator preferences"
Although I have increased the iteration limit to its maximum value 65536,it doesn't seem help. Has anybody met with this error or has any solution for it?
Many thanks in advance!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…