problem in floating point multiplication in vhdl

Status
Not open for further replies.

debalina

Junior Member level 2
Joined
Jul 22, 2011
Messages
23
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,428
sir i want to multiply 5.75 * 6.5.for that i use fixed_pkg,but in simulation it takes 5.75 as 6 and 6.5 as 7 and gives 42. ihave attched program with simulation result.plz fix he problem sir.
 

Attachments

  • module joy.doc
    34.5 KB · Views: 46

It's not the right way to use fixed_pkg. Consult the user manual about conversion functions.
 

Why are you using a verilog testbench with a VHDL entity? wouldnt it be easier to stick to one language?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…