Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Preserve RTL dummy register during dc analyze

Status
Not open for further replies.

phantom1003

Newbie
Joined
Oct 28, 2021
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
34
I want to preserve the sram_dummy register here.
So, I tried to use the synopsis directives, it just told me no object called sram_dummy.
I also checked the design after analyze, in gtech unmapped netlist, sram_dummy has been removed.
How can I preserve cell during analyze ?
Code:
module mem_ext(
  input W0_clk,
  input [7:0] W0_addr,
  input W0_en,
  input [63:0] W0_data,
  input R0_clk,
  input [7:0] R0_addr,
  input R0_en,
  output [63:0] R0_data
);

  // synopsys dc_script_begin
  // set_dont_touch sram_dummy
  // synopsys dc_script_end
  reg sram_dummy;

  mem256x64 mem0(
  /* output [63:0] */ .Q(R0_data),
  /* input */ .CLK(W0_clk),
  /* input */ .CEN(!(W0_en||R0_en)),
  /* input */ .WEN(!W0_en),
  /* input    [7:0] */ .A(W0_en ? R0_addr : W0_addr),
  /* input    [63:0] */ .D(W0_data)
  );

endmodule
 
Last edited:

Solution
To preserve a register, it must at least exist in the RTL description. But there's no register sram_dummy connected somehow in the shown module.
To preserve a register, it must at least exist in the RTL description. But there's no register sram_dummy connected somehow in the shown module.
 

Solution
To preserve a register, it must at least exist in the RTL description. But there's no register sram_dummy connected somehow in the shown module.
Does the definition regsramdummy; regsramdummy; is enough?
Must I instantiate a FF module?
 
Last edited by a moderator:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top