Prescaler - What is going on?

Status
Not open for further replies.

Mercury

Member level 3
Joined
Oct 7, 2003
Messages
67
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
612
vhdl prescaler

Hi!
I have written the simplest possible VHDL prescaler ( Xilinx webpack 5.2, 9500 CPLD ).:

entity prescaler is
Port (
rst: in std_logic;
clk_in: in std_logic;
clk_out: out std_logic
);
end prescaler;


architecture presc of prescaler is

signal count: std_logic_vector(9 downto 0);

begin

p00: process(rst,clk_in)
begin
if rst = '0' then
count <= "0000000000";
elsif clk_in'event and clk_in = '1' then
count <= count + 1;
end if;
end process;

clk_out <= count(9);

end presc;

Now, take a look at the RTL Schematics. The clk_out ouput is not even connected, however it is recognised as a pin. What is going on here???, can anyone please help?

Best Regards
George Mercury
 

prescaler vhdl

I just compiled your design under Synplify 7.3.1. I added the following lines because sdt_logic and '+' operator needed definition.


library ieee;
use ieee.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;


In the RTL view, everything looks fine.

TurboPC
 

what is prescaler

Sorry! It may not have answered your question. I just realized you wanted an answer with Xilinx webpack 5.2. I don't have it installed at this time. I cannot help you more...

TurboPC
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…