Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

post-synthesis Modelsim error

Status
Not open for further replies.

arbalez

Member level 5
Joined
Jan 22, 2005
Messages
82
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,288
Activity points
734
i got this modelsim problem after simulating a post-synthesis design from quartusII. why does this happen? i've tried to change the resolution to 1 ps, 1 ns, and even 1 us, but still the simulation doesn't run properly. is this got to do with the vhdl design code and its testbench? or because of tool-related problem?

# ** Error: (vsim-3601) Iteration limit reached at time 0 us.
# ** Note: (vsim-3602) Delays were truncated during elaboration of the design.


thank you in advance.
 

Sounds like a bug in your testbench. Search the ModelSim User's Manual for the word "trunctated" or the phrase "iteration limit".

If you show us a small example, maybe someone can help you better.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top