plz help me to solve fixed_pkg problem

Status
Not open for further replies.

debalina

Junior Member level 2
Joined
Jul 22, 2011
Messages
23
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,428
iused fixed_pkg_c.vhdl but in the check syntex, it gives error on package body.i used david bishop's fixed_pkg. plz help me. i am using ise 11.1,vhdl-93.simulator-isim.
 

Re: plz help me to slove fixed_pkg problem

what is the error? There is no error with the packages, it will be a problem with the way you have set it up.
 

Re: plz help me to slove fixed_pkg problem

thank u sir 4 reply.
sir i am following the steps below to generate it.
1.open a new project
2.go to the source tab,there declre a new source library "ieee_proposed"
3.under the new project go the new source
4,go to the vhdl package
5 there i copy paste the fixed_pkg code.then save it and check syntax.
------------am i following the right track sir? if not plz tell me the steps .thanks in advance.
 

Re: plz help me to slove fixed_pkg problem

you havent said what the error is.
 

Re: plz help me to slove fixed_pkg problem

extremly sorry sir,
the errors are :1) "alias" statements -does not match on functions and type.
2) 'instance_name - this attribute is not supported
3) "to_stdlogicvector(to_suv(arg))" -type conversion error
4) "to_stdulogicvector(arg)" - type conversion error.
 

have you downloaded the Xilinx version of the library?
 

can sir plz give me the link from whwre i download the Xilinx version of the library?thanks for response sir.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…