Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Please help me XILINX 9.1+Modelsim se plus 6.0d

Status
Not open for further replies.

mostafa ahmed

Member level 1
Joined
Mar 15, 2009
Messages
37
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,288
Location
Egypt
Activity points
1,494
Dear guys,
I done a simple schematic by using a xilinx program and when I use a modelsim there is an error but I don't know why this error.

# Reading C:/Modeltech_6.0d/tcl/vsim/pref.tcl
# // ModelSim SE 6.0d Apr 25 2005
# //
# // Copyright Mentor Graphics Corporation 2005
# // All Rights Reserved.
# //
# // THIS WORK CONTAINS TRADE SECRET AND
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# // AND IS SUBJECT TO LICENSE TERMS.
# //
# do {test2.fdo}
# ** Warning: (vlib-34) Library already exists at "work".
# Model Technology ModelSim SE vcom 6.0d Compiler 2005.04 Apr 25 2005
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory. (errno = ENOENT)
# ** Error: C:/Modeltech_6.0d/win32/vcom failed.
# Error in macro ./test2.fdo line 5
# C:/Modeltech_6.0d/win32/vcom failed.
# while executing
# "vcom -explicit -93 "testsh.vhf""


Please help me.
Thanks in advance.
 

Check your environment variables:

User Variables

VSIMBIN C:\MentorGraphics //Should point to the installation directory

System Variables

Path C:\MentorGraphics\Licensing //Contains this as well as many refs


These are available under ControlPanel/System/Advanced/Environment Variables
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top