Please help !! For sine wave generator project in vhdl coding .

Status
Not open for further replies.

damonsalv

Newbie level 4
Joined
Nov 13, 2012
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,315
Hi,
I am doing the Project on Cordic sine wave generator in vhdl coding
Can you help me for proper understanding of it and please give some reference for getting better detail information about this project.

Thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…