Parallel to Serial convertor(Urgent)

Status
Not open for further replies.

jawadysf

Newbie level 5
Joined
Nov 27, 2008
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,351
design parallel to serial

I need a 3 bit parallel to serial converter in verilog, can anyone suggest the solution
Thanks
 

parallel to serial verilog

Please look at digital logic design by morris mano third edition , chapter 6. You essentially have to configure shift register with 3 bits coming in as parallel ans 1 bit out as serial
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…