Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

One question of VHDL simulation

Status
Not open for further replies.

my_garden

Member level 4
Joined
Dec 14, 2001
Messages
79
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
664
Dear all,
What's the major difference between "after" and "wait for"?
 

"after" construct is primarily associated with the assignment statements where as the "waitfor" construct is for suspending the process for a specific time.
 

AFTER : It is used to delay the assignment of a signal like

y <= x after 5ns;


WAIT : wait is used to suspend a process for a finite time , it is used in the absence of sensitivity list.

wait for 10 ns;

Added after 56 seconds:


AFTER : It is used to delay the assignment of a signal like

y <= x after 5ns;


WAIT : wait is used to suspend a process for a finite time , it is used in the absence of sensitivity list.

wait for 10 ns;
 

after executes the statement & defers assignment according to the delay & its type while wait suspends execution till a certain condition
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top