One problem on dumping fsdb file of verdi.

Status
Not open for further replies.

Merlionfire

Newbie level 6
Joined
Mar 16, 2007
Messages
11
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,353
verdi fsdb

Hi, all

I use VCS to compile and simulate a few verry simple verilog files. Testbench calls the tasks below to dump fsdb file.

$fsdbDumpfile("dump.fsdb");
$fsdbDumpvars;

Then I run command to pop up verdi

verdi -2001 -autoalias -f run.f -top system -ssf dump.fsdb -nologo

The problem is that source files and fsdb can be imported but can not put any signal on nWave. When drag and put one signal on nWave, a wanning window addresses that it is unrecognized signal. It seems to be due to dumping fsdb file because I try to dump to VCD file then open it in nWave, then everything is ok.

Could u give some suggestions on this issue?

Many thanks for your attention.
 

how to open fsdb files in verdi

Solve it by checking Novas document.

Need to add option +cli+3 -line for compilation such as:

vcs +cli+3 -line -f run.f ...........
 

    V

    Points: 2
    Helpful Answer Positive Rating
verdi dump fsdb

when dump the waveform , you should include the dumping layer of the design!
 

    V

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…