Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Nexys2, ditributed RAM and block RAM

Status
Not open for further replies.

cyboman

Member level 4
Joined
Mar 9, 2010
Messages
71
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
USA
Activity points
1,808
i have a Nexys2 board and i'm just learning digital design. i'm reading a book about it and it talks about distributed RAM and block RAM. the book explains what it is but i'm still confused. can anyone explain the difference?

help is appreciated
thanks

Added after 42 minutes:

never mind. i figured it out.
 

You are Coding a RAM for some purpose in your design. Depending upon the size of the RAM, synthesis tool will infer Block RAM or Distributed RAM.

Most FPGA boards have dedicated Block RAMs. So if you code a large/big RAM synthesis tool will infer Block RAM.
on other case if you code a small RAM, in FPGA we have CLB(configurable logic blocks) which have LUTs, This forms distributed RAM.

Hope it may help..
 

research_vlsi,

thanks for the explanation
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top