Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

negative edge detector

Status
Not open for further replies.

ASIC_intl

Banned
Joined
Jan 18, 2008
Messages
260
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
0
can u give an negative edge detector circuit?
 

always@(posedge clk or posedge reset)
begin
if(reset) begin
q_tmp <= 1'b0;
q <= 1'b0;
end
else begin
q <= d;
q_tmp <= q;
end
end

assign neg_edge = ~q & q_tmp;

where d is u r input pulse.

Bad at drawing the schematic and thats why i have written a verilog code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top