negative edge detector

Status
Not open for further replies.

ASIC_intl

Banned
Joined
Jan 18, 2008
Messages
260
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
0
can u give an negative edge detector circuit?
 

always@(posedge clk or posedge reset)
begin
if(reset) begin
q_tmp <= 1'b0;
q <= 1'b0;
end
else begin
q <= d;
q_tmp <= q;
end
end

assign neg_edge = ~q & q_tmp;

where d is u r input pulse.

Bad at drawing the schematic and thats why i have written a verilog code.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…