Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need your help ! for study Xilinx application( xapp264)

Status
Not open for further replies.

Matrix_YL

Advanced Member level 4
Joined
Aug 19, 2005
Messages
108
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,298
Activity points
2,272
Hi all


I am studying xilinx's system generator ,using xapp264 for practice.
(The datasheet said "To conserve hardware, the filter is configured to oversample at a rate of four. The oversampled filter runs at the system rate (i.e., the same rate as the OPB clock), and therefore the filter data rate is four-cycles per sample." page 4 ,last segment)

but I don't understand why "coef_data" signal needed to be downsample at a rate of four when it output from FIFO. who can make it more clearer?

whether it means the FIFO of depth 32 in mem_if_in subsystem(Figure 12,page11) will be writen to full four times for write all coef_data to 32 tap fir just because of downsample as a rate of four ?
( I means due to FIFO of 32 depth when 32 words coef _data pass into downsample block at a rate of 4 , just 8 words coef_data will be sampled and out to 32 tap fir one times ,so for 32 coefficients need four times )


thank you
 

Hi all

I use OPB Export Tool export XAPP264 Reference Design(opb_sgp_filter.mdl) to EDK(system.xmp) as peripheral according to datasheet indicate but fail to implement (my tools are ISE 7.1.04i EDK SP2 ,System generator 7.1)

EDK Export.log show this
Code:
EDK Export log: Wed, 11-Jan-2006, 21:15:10
Done processing arguments
opb_sgp_filter 
D:/MATLAB7/toolbox/xilinx/sysgen/plugins/compilation/OPB Export Tool 
1 
 
system can not find directory 
EDKWrapperBuilder failed
error: EDKWrapperBuilder failed

what 's wrong with it ?

thanks in advance
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top