Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need VHDL memory managing example

Status
Not open for further replies.

555lin

Junior Member level 3
Joined
Aug 19, 2005
Messages
26
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,638
Guys, I've encountered a problem of creating and managing a RAM.
Could you please give an example of using a memory to store 256 bits
of data, for example, a key, and managing with write enable, clk signals.
 

VHDL memory example

you can use signal declaration

signal key: std_logic_vector(255 downto 0);

to write

key(4) <= din_bit

to read

dout_bit <= key(8)

something like this
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top