need of vhdl code for led matrix

Status
Not open for further replies.

prakashvenugopal

Advanced Member level 1
Joined
Jun 1, 2011
Messages
473
Helped
15
Reputation
30
Reaction score
15
Trophy points
1,298
Activity points
4,973
hi,

Can any one provide me the vhdl code for led matrix? please let me know how to do it?


thanks,
V. Prakash
 

Do you mean an array of LED matrix and switching ON a particular LED depending on the input(row and column number).
If this is what you want then you can do it using a case statement.
 

hi vipnal,

do you have a code for led matrix? please attach me if you have this.



Thanks,
V. Prakash
 

led matrix very generalized. size 10x10 100x100
 

hi,

Yes. if you provide me 10X10 led matrix so that i can change to build as i per my application.


thanks,
V. Prakash
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…