Need help to write a program in vhdl using xilinx

Status
Not open for further replies.

chinnaduraimurugan

Newbie level 2
Joined
Feb 27, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,291
Hello, i am new to vhdl using xilinx
So, please help me how to write vhdl program using xilinx and steps to execute the program.


Thank u all
 

file>new project> give pgm name and location>next>select prefer language as vhdl>finish
now right click on the name that appears on the top left>create new source>select vhdl module>give input and output port>finish
now u can write the code
 

Please go through this doc.
 

file>new project> give pgm name and location>next>select prefer language as vhdl>finish
now right click on the name that appears on the top left>create new source>select vhdl module>give input and output port>finish
now u can write the code

Thank u very much for your valuable help

- - - Updated - - -

Please go through this doc.

thank u very much sir
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…