Swapnika.J
Newbie level 2
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity final is
port (dataout :in std_logic_vector(31 downto 0);
addrout : in std_logic_vector (5 downto 0);
out1 : out std_logic_vector(31 downto 0);
matchhit :in std_logic );
end final;
architecture Behavioral of final is
begin
process(dataout,addrout,matchhit)
begin
case matchhit is
when '0' => out1 <= dataout(31 downto 0);
when others => out1 <= addrout(5 downto 0);
end case;
end process;
Error:length of expected is 32;length of actual is 6
end Behavioral;
use IEEE.STD_LOGIC_1164.ALL;
entity final is
port (dataout :in std_logic_vector(31 downto 0);
addrout : in std_logic_vector (5 downto 0);
out1 : out std_logic_vector(31 downto 0);
matchhit :in std_logic );
end final;
architecture Behavioral of final is
begin
process(dataout,addrout,matchhit)
begin
case matchhit is
when '0' => out1 <= dataout(31 downto 0);
when others => out1 <= addrout(5 downto 0);
end case;
end process;
Error:length of expected is 32;length of actual is 6
end Behavioral;