Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Need Help For A Program in VHDL (Keyboard to LCD Display)

Status
Not open for further replies.

moinabrar

Junior Member level 2
Joined
Feb 7, 2012
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,386
Please give me a program with UCF to display a character in lcd display when i press a key in keyboard...?? I am using spartan 3E processor.
 
Last edited:

I cant offer.. I m a student
 

then why not try doing your assignemtn yourself, or at least attempting to do some work first before coming here looking to fix the problems you are having.

How much code have you written so far?
 

I hav written code but i m not able to debug.. There is a problem in mapping keyboard o/p and lcd i/p...
 

why cant you debug? what tool are you using? it should tell you errors.
Post the problems you have.
 

Here is my main program.. in this led_out is output of keyboard but it has got error.. led_out cannot be used as d=>led_out


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity main is
port(clk : in std_logic;
rs_lcd : out std_logic;
cs_lcd : out std_logic;
diow_lcd : inout std_logic;
--dat_in : in std_logic_vector(7 downto 0);
-- d : in std_logic_vector(7 downto 0);
d : inout std_logic_vector(7 downto 0);
led_out : out std_logic_vector(7 downto 0);
Reset_k : In std_logic;
PS2_Data_k : In std_logic;
PS2_Clk_k : In std_logic);
--LEDdis_k : Out std_logic_vector(7 downto 0));
end main;

architecture Behavioral of main is
component PS2SIMPL is
Port (Clk : In std_logic;
Reset : In std_logic;
PS2_Data : In std_logic;
PS2_Clk : In std_logic;
--d : out std_logic_vector(7 downto 0);
LEDdis : Out std_logic_vector(7 downto 0));

end component;

component lcd is
port (clk : in std_logic;
rs : out std_logic;
cs : out std_logic;
diow : inout std_logic;
--dat_in : in std_logic_vector(7 downto 0);
d : inout std_logic_vector(7 downto 0));
end component;
begin

key : PS2SIMPL
port map(Clk,Reset=>Reset_k,PS2_Data=>PS2_Data_k,PS2_Clk=>PS2_Clk_k,LEDdis=>led_out);

disp : lcd
port map(clk=>Clk,rs=>rs_lcd,cs=>cs_lcd,diow=>diow_lcd,d=>led_out);

end Behavioral;

---------- Post added at 20:58 ---------- Previous post was at 20:57 ----------

I m using spartan 3E and xilinx 13.1
 

D on the lcd component is an inout. LED_out is just an out. You cannot connect inout and out together.
 

Will check.. Thanks

---------- Post added at 21:10 ---------- Previous post was at 21:09 ----------

I am getting this error now..
Multi-source in Unit <main> on signal <led_out<7>>; this signal is connected to multiple drivers.
 

yes, you have connected it to the D port of LCD and LEDdis of PS2SIMPL.
Fixing errors like this really should be done by yourself. The error explains what the problem is so you can fix it.
 

Atleast give me a program for Binary to Hexadecimal conversion using VHDL..
 

Hex is just binary grouped together, 4 bits per character.
 

I need the coding.. pls help..
 

But there is no coding to give, because there is no conversion to do, because hex and binary are the same thing.
 

I need to convert the data from keyboard to input data lines of lcd..
 

well thats different. You need a decoder that creates the hex value for the LCD, based on the input. How this is done will depend on the LCD datasheet. You need to read that to find out how to get characters displayed.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top