Here is my main program.. in this led_out is output of keyboard but it has got error.. led_out cannot be used as d=>led_out
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity main is
port(clk : in std_logic;
rs_lcd : out std_logic;
cs_lcd : out std_logic;
diow_lcd : inout std_logic;
--dat_in : in std_logic_vector(7 downto 0);
-- d : in std_logic_vector(7 downto 0);
d : inout std_logic_vector(7 downto 0);
led_out : out std_logic_vector(7 downto 0);
Reset_k : In std_logic;
PS2_Data_k : In std_logic;
PS2_Clk_k : In std_logic);
--LEDdis_k : Out std_logic_vector(7 downto 0));
end main;
architecture Behavioral of main is
component PS2SIMPL is
Port (Clk : In std_logic;
Reset : In std_logic;
PS2_Data : In std_logic;
PS2_Clk : In std_logic;
--d : out std_logic_vector(7 downto 0);
LEDdis : Out std_logic_vector(7 downto 0));
end component;
component lcd is
port (clk : in std_logic;
rs : out std_logic;
cs : out std_logic;
diow : inout std_logic;
--dat_in : in std_logic_vector(7 downto 0);
d : inout std_logic_vector(7 downto 0));
end component;
begin
key : PS2SIMPL
port map(Clk,Reset=>Reset_k,PS2_Data=>PS2_Data_k,PS2_Clk=>PS2_Clk_k,LEDdis=>led_out);
disp : lcd
port map(clk=>Clk,rs=>rs_lcd,cs=>cs_lcd,diow=>diow_lcd,d=>led_out);
end Behavioral;
---------- Post added at 20:58 ---------- Previous post was at 20:57 ----------
I m using spartan 3E and xilinx 13.1