ncsim dump fsdb problem
when I add
//////////////////////////////////////////////////////////////
initial begin
$fsdbDumpfile("./cosim.fsdb");
$fsdbDumpvars(0, top);
$fsdbDumpon;
#Length
$fsdbDumpoff;
$display("cosim success");
$finish;
end
//////////////////////////////////////////////////////////////
$fsdbDumpfile("./cosim.fsdb");
|
ncsim: *E,MSSYSTF (./top_cosim.src,41|16): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpvars(0, top);
|
ncsim: *E,MSSYSTF (./top_cosim.src,42|16): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpon;
|
ncsim: *E,MSSYSTF (./top_cosim.src,43|14): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpoff;
|
ncsim: *E,MSSYSTF (./top_cosim.src,45|15): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
Is there anybody could help me??
thanks a lot