ncsim dump fsdb problem - help needed

Status
Not open for further replies.

bradyue

Member level 2
Joined
Jan 18, 2008
Messages
47
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,603
ncsim dump fsdb problem

when I add
//////////////////////////////////////////////////////////////
initial begin
$fsdbDumpfile("./cosim.fsdb");
$fsdbDumpvars(0, top);
$fsdbDumpon;
#Length
$fsdbDumpoff;
$display("cosim success");
$finish;
end
//////////////////////////////////////////////////////////////
$fsdbDumpfile("./cosim.fsdb");
|
ncsim: *E,MSSYSTF (./top_cosim.src,41|16): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpvars(0, top);
|
ncsim: *E,MSSYSTF (./top_cosim.src,42|16): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpon;
|
ncsim: *E,MSSYSTF (./top_cosim.src,43|14): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpoff;
|
ncsim: *E,MSSYSTF (./top_cosim.src,45|15): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.

Is there anybody could help me??
thanks a lot
 

Hi,

You didn't link PLI properly ... try -loadpli

setenv LD_LIBRARY_PATH $Novas_HOME/share/PLI/ius8.2_vhpi/Linux/boot

Ex:-

ncverilog -loadpli1 debpli:novas_pli_boot +access+rw -f run.f


Jony
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…