[NC-sim + fsdb] how to create fsdb waveform in ncsim without adding code in verilog?

Status
Not open for further replies.

joder

Newbie level 6
Joined
Oct 2, 2009
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,356
Dear all,
I need to use multiple-steps compilation in nc-sim with dumping out the fsdb file. I failed to use
....
call fsdbDumpfile ("xxx.fsdb")
call fsdbDumpvars 0 top_xxx_module
run
....
in command.tcl for the -INPUT option in ncsim.

The log file shows the following:
ERROR: VHPI VHUNIMP
The property or method vhpiIteratorTypeP has not been implemented yet.

Segmentation fault in routine called with CFC (fsdbDumpvars).


Could anyone kindly help?
Thx a lot
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…