Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NC Launch and Xilinx ISE

Status
Not open for further replies.

Mirzaaur

Member level 2
Joined
Aug 5, 2005
Messages
50
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,286
Activity points
1,690
Hello every body!

I generated a verlig file by Xilinx Core generator, I need to simulate it in NCLaunch.
I tried to Edit "cds.lib" file with following Parameters:-

define SIMPRIMS C:/Xilinx/verilog/src/simprims
define CoreLib C:/Xilinx/verilog/src/XilinxCoreLib


but when I try to Elaborate the Generated Module it gives an error saying that can not view the list CORELIB .......... (System Error)

anybody can help?

thanks in advance,

mirzaaur
 

I am thinking that the if you are using cadence tools in unix and you xilinx libs are in windows C:. How the cadence will be able to see this.

I suggest copy these folders in unix and give that path in cds.lib
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top