u24c02
Advanced Member level 1
Hi.
Now I'm trying to compile with VHDL files. but I got some error messages when I compiled with that VHDL file.
Does anyone know how to handle of this?
and the below is run script.
Now I'm trying to compile with VHDL files. but I got some error messages when I compiled with that VHDL file.
Does anyone know how to handle of this?
Code:
library unisim;
|
ncvhdl_p: *E,LIBNOM (koko_fifo_exdes.vhd,68|13): logical library name must be mapped to design library
use unisim.vcomponents.all;
|
ncvhdl_p: *E,IDENTU (fifo_exdes.vhd,69|9): identifier (UNISIM) is not declared
ncvlog: *F,WRKBAD: logical library name WORK is bound to a bad library name 'work'.
and the below is run script.
Code:
mkdir work
echo "Compiling Core Verilog UNISIM/Behavioral model"
ncvlog -WORK work fifo.v
ncvhdl -v93 -work work fifo_exdes.vhd