multisim with vhdl module

Status
Not open for further replies.

pineapple

Newbie level 4
Joined
Mar 14, 2004
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
55
multisim vhdl module

Does anyone use multisim mix simulation with external vhdl module? How good is it?
I know it works fine with verilog module.
(':roll:')
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…