Multiply-Add Unit VHDL Code

Status
Not open for further replies.

mml

Newbie level 4
Joined
Aug 31, 2009
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
code vhdl for multiply

Can you help me?
I'm going to write VHDL Code of (AxB+C) which a and b are fixed-point 31 bit and c is 62 bit.
Do you have any idea about it?
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…