Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

multiple uvm sequence to run on same sequence in parallel

Status
Not open for further replies.

ashishk

Junior Member level 2
Joined
Dec 29, 2010
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
I have 2 sequences in UVM which need to run on same sequencer in parallel. e.g. seq1 generates 10 pkt viz pkt1-pkt10 with some random delays in between. seq2 also generates 10 packets of same type
pkt11-pkt20. I fork these both seq1 and seq2 and what i see that packets are either generated in 1-20 or 11-20 and then 1-10 so it means sequences run in back to back only. I want to run them in parallel so pkts generated are really random like 1,11,2,3,12 ... and so on. I have these multiple sequences already created so i don't want to re-generate new but to use what is available.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top