[MOVED] image compression using VHDL code

Status
Not open for further replies.

maya t

Newbie level 3
Joined
May 22, 2014
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
28
plz anybody help me to write a vhdl code for implementing image compression..
 

Re: image compression using VHDL code

as a part of my project i create a matrix using

type arr_type is array (7 downto 0) of std_logic_vector(7 downto 0);
signal my_array:arr_type;

bt i don't know how to enter the values into the matrix
plz help me
 

Re: image compression using VHDL code

as a part of my project i create a matrix using

type arr_type is array (7 downto 0) of std_logic_vector(7 downto 0);
signal my_array:arr_type;

bt i don't know how to enter the values into the matrix
plz help me

I guess you posted this in the wrong section. Please go to "PLD, SPLD, GAL, CPLD, FPGA Design" and post it. Also there might be some old posts regarding the same topic.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…