[Moved] FPGA & MATLAB Interface via USB

Status
Not open for further replies.

Jigar Mori

Newbie level 3
Joined
Feb 26, 2014
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
14
Hello

I'm processing real time image in FPGA. I'm sending image data to USB. I'm able to transfer data to USB FIFO. Now i want to read image data in MATLAB. Please provide me some details or MATLAB code. (USB chip cypress.)
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…