Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0

Status
Not open for further replies.

brunokasimin

Member level 4
Joined
Jun 13, 2008
Messages
70
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
1,828
hello,
When i simulate a design, the error appears:

Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0

Can someone explain me about this?

thx in advanced
 

modelsim runtime options

this is an issue related to numeric operations in your simulations. for example, your logic wasn't properly initialized such that some illegal/undefined numeric operations happened, like divided by 0; multiply 'z', 'x', 'u' etc.

use a text editor to open your modelsim configuration file named: modelsim.ini. It is usually located in the ModelSim root. then try to look up the following line:

NumericStdNoWarnings = 0 ,

then change the above line to

NumericStdNoWarnings = 1.


let me know if it helps...
 

modelsim break on warning

i have done what you asked me to do but it didn't help..:)..the warning still appeared..does it influence simulated outputs of my design?? can we just ignore it?
 

modelsim real multiply problem

Hi brunokasimin,

I got it this solution from my colleauge:

"A metavalue is an X, U or Z. Many of the standard library routines do not know what to do with a metavalue and therefore return FALSE or 0 or some reasonable value, and generate a warning that this is what is happening. This happens most frequently at time 0 when signals are uninitialized. (Actually, they are initialized to <type>'left which for a std_logic would be 'U'.)

When trying to debug this problem there is not a simple way to print them out since the function only has values not signals, but there is a simple way to stop the simulator each time a message is printed.

If you change the Immediate Assertion Break Severity setting to Warning in the Simulate > Runtime Options > Assertion tab, then when any assertion issuing a warning is encountered the simulation will break and you can see the line and the signals involved. If the simulation is inside of a function, you can use the tb command to figure out what process and line you are at.

If you are not concerned about the warnings you can disable them via the Simulate > Runtime Options > Defaults tab, which contains a checkbox to Suppress Warnings from the IEEE Numeric Std Packages. Alternatively, you may set the modelsim.ini file variable NumericStdNoWarnings = 1, by removing the ';' at the beginning of the line to uncomment it.
"

Hope it helps
 
modelsim compile error 1035

very informative and helpful...thanks.
 

Re: modelsim compile error 1035

i am having problem with numeric_std to_integer,it says "No feasible entries for subprogram to_integer"
 

you're probably not converting a signed or unsigned type.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top