Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ModelSim SE compilation error

Status
Not open for further replies.

cLaRe7

Newbie level 6
Joined
Feb 22, 2012
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,362
Hi,

When I tried to compile a simple code in my ModelSim SE 10.1 (which the code has no error in Quartus II compilation), it will give me the "Library cycloneii not found." error.

Another question, if the compilation failed in ModelSim but success in Quartus, can I still proceed with simulation in Modelsim? The testbench compilation is Ok though.

Please advice. Thanks alot
 

Hi,

The error in Modelsim is due the mapping of the library Cycloneii..

In order to remove the error you should map the Cycloneii library from Quartus installation folder.

If the compilation in Quartus is succeeded then you can proceed the further steps..

For checking you can also compile the design in ModelSim after mapping the Cycloneii library....
 

Hi,

The error in Modelsim is due the mapping of the library Cycloneii..

In order to remove the error you should map the Cycloneii library from Quartus installation folder.

If the compilation in Quartus is succeeded then you can proceed the further steps..

For checking you can also compile the design in ModelSim after mapping the Cycloneii library....

can you explain what is meant by map the Cycloneii library from Quartus installation folder. How do I do that? My project file has no error in full compilation performed in Quartus II.
 

Hi,

MAP means mapping the library files in the ModelSim...

When you opened your design and looked the library in the ModelSim (VIEW -> LIBRARY) you can see the libraries which are currently mapped to your design...

Here the library called Cycloneii (by default which is not in the ModelSim Library because its not a standard one) may not be in your library, so you need to map it...

For mapping the library go to the library window in the ModelSim then right click the mouse go to NEW -> LIBRARY, then you can name the library as cycloneii and also you need to browse the path where the cycloneii is located in your system...
 

Hi,

MAP means mapping the library files in the ModelSim...

When you opened your design and looked the library in the ModelSim (VIEW -> LIBRARY) you can see the libraries which are currently mapped to your design...

Here the library called Cycloneii (by default which is not in the ModelSim Library because its not a standard one) may not be in your library, so you need to map it...

For mapping the library go to the library window in the ModelSim then right click the mouse go to NEW -> LIBRARY, then you can name the library as cycloneii and also you need to browse the path where the cycloneii is located in your system...

I tried adding a new library name cycloneII and the path of the library is Computer>LocalDisk(C:)>altera>11.1>Quartus>Libraries>Sim>CycloneII

but i still get the same error. did i miss out anything?
 

HI,

can you see the CycloneII library in the ModelSim's Library window...

(Means whether the mapping is ok)...?

---------- Post added at 12:54 ---------- Previous post was at 12:52 ----------

wat's the library name that you used in ur design code..

because if u are write the CycloneII as Cycloneii then the error comes...

---------- Post added at 12:55 ---------- Previous post was at 12:54 ----------

can you paste the lines from your code where these library calls... for checking how the name appear...
 

modelsim error.png

Doesnt seems ok to me. it has a "unavailable" word next to it. I assume the mapping is not OK
 

HTML:
# -- Loading package STANDARD
###### C:/Users/mwong/Desktop/Lab 1/simulation/modelsim/Lab1.vho(29): LIBRARY CYCLONEII;
# 
# ** Error: C:/Users/mwong/Desktop/Lab 1/simulation/modelsim/Lab1.vho(29): Library cycloneii not found.
###### C:/Users/mwong/Desktop/Lab 1/simulation/modelsim/Lab1.vho(31): USE CYCLONEII.CYCLONEII_COMPONENTS.ALL;
# 
# ** Error: C:/Users/mwong/Desktop/Lab 1/simulation/modelsim/Lab1.vho(31): (vcom-1136) Unknown identifier "CYCLONEII".
# 
# -- Loading package TEXTIO
# -- Loading package std_logic_1164

tis is the error message after compile in my modelsim. when I double click on the error message it link to the code where the library is called

HTML:
LIBRARY CYCLONEII;
LIBRARY IEEE;
USE CYCLONEII.CYCONEII_COMPONENTS.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 

Yes,

The maps not finished..

I think you are added the RTL(*.vhd) code of CycloneII library...

the CycloneII library files which is used for mapping must be the compiled files of the CycloneII RTL(*.vhd) codes..

IF so u compile the .vhd files of CycloneII and that folder you name it as Cycloneii , then you map that folder will solve the issue...

---------- Post added at 13:01 ---------- Previous post was at 12:59 ----------

The library name and all you mapped was fine (CycloneII), but make sure that the files are not .vhd, it should be the compiled files
 

Ok. so I guess I mapped the wrong library file. What is the compiled files of the Cyclone II? I did not map the RTL codes. I just browse to the cyclone II folder in C drive.
 

You just opened the CycloneII folder in your computer, then see whether they are .vhd files or the compiled files...

---------- Post added at 13:25 ---------- Previous post was at 13:23 ----------

if the files are .vhd then you create a new project using the ModelSim and add those files and compile it.. So the compiled files can be see in the work folder... so you just copy the work folder and rename it to CycloneII. then you can map this folder as your CycloneII library in the ModelSim... for your design...
 

Previously Im trying to compile the .vho file (generated after compilation in Quartus) in order to perform simulation. If I were to compile the .vhd file, there is no error. But for .vho yes. sorry for the trouble. but this thing is really driving me up the wall
 

Hi,

Have you used the CycloneII library in your .vhd compilation in the ModelSim... (If yes at that time how you mapped the CycloneII Library)...

Whether its .vhd or .vho if the CycloneII library is mapped with the ModelSim's Library, then there should't be error like this...

Is the error in the VHO simulation removed after the library map..
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top