Modelsim Problem (adding reg's to wave window)

Status
Not open for further replies.

jelydonut

Full Member level 4
Joined
Dec 27, 2002
Messages
239
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,296
Activity points
1,730
I really don't know what is going on, but I was running version 5.8d which worked fine. Now that im running 6.2g I cannot seem to add any of the regs in the module to the wave window.

heres a example..

reg rst;

initial
begin
rst = 1'b1;
#1000;
rst = 1'b0;
end

I cannot add "rst" to the wave window.. nor does it appear in the objects section.

jelydonut
 

Hi,

Is there any error or warning message?

One suggestion, u can try using command add wave with "recursive" option. Actually, it's use to add internal signals.

vsim > add wave -recursive signal_name

Just give it a try

Hope it helps
-no_mad
 

Sadly that made no difference..

I just get No objects found matching "rst".

Screw it, i'll go back to the old version, atleast it worked.

jelydonut
 

Try
Code:
modelsim> add wave /rst
 

Thanks gliss, but I tried that as well..

Basically what it comes down to is any reg i instantiated in the top level module I can't add.. If it's a wire or a port being instantiated from inside the top level then i can add it.

I remember using 6.1 a while back, and it worked fine for me then. Maybe this is just a issue i am having with 6.2g

jelydonut
 

When you run simulate option, then in the box that appears following the action, please disable optimization feature. Worked for me. I tried with Modelsim 6.4d and 6.4a

Regards,
don_kiss
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…