Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim error : bad pointer access

Status
Not open for further replies.

thabet

Newbie level 1
Joined
Feb 18, 2008
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,298
I got this error message when I try to simulate a mixed VHDL and SystemC design under Modelsim. The compilation step for the both systemc and vhdl parts are accomplished without error.
Can anybode suggest what might be the possible resaon for this ..?

Thanx in advance,


------------------------------------------------------------------------------------------------------------
# Attempting stack trace sig 11
# Signal caught: signo [11]

# PARTIAL STACK TRACE ONLY, anomaly 13
# vsim_stacktrace.vstf written
# Current time Mon Feb 18 16:58:00 2008
# ModelSim Stack Trace
# Program = vsim
# Id = "6.2f"
# Version = "2007.01"
# Date = "Jan 13 2007"
# Platform = linux
# <-- END OF USERCODE
# 0 0x0065fef3: 'IO_vfscanf + 0xa3' in '/lib/tls/libc.so.6'
# 1 0x006652dc: '_vfscanf + 0x2c' in '/lib/tls/libc.so.6'
# 2 0x0066997a: 'fscanf + 0x1a' in '/lib/tls/libc.so.6'
# --> START OF USERCODE
# 3 0xb6cb6f56: 'memory_task::init_appli(char*) + 0x11a' in './iRAMPASS/systemc.so'
# 4 0xb6c5e7bd: 'cpu::do_cpu() + 0x165' in './iRAMPASS/systemc.so'
# 5 0xb6d3b3a6: 'sc_core::sc_cthread_cor_fn(void*) + 0x36' in './iRAMPASS/systemc.so'
# 6 0xb6d6cbef: 'sc_cor_qt_wrapper + 0x1f' in './iRAMPASS/systemc.so'
# 7 0xb6d6d938: 'qt_null + 0x0' in './iRAMPASS/systemc.so'
# End of Stack Trace


** Fatal: (SIGSEGV) Bad pointer access. Closing vsimk.
** Fatal: vsimk is exiting with code 211.
(Exit codes are defined in the ModelSim messages appendix
of the ModelSim User's Manual.)

[2] Sortie 211 vsim
-------------------------------------------------------------------------------------------------------------
 

Re: Error : bad pointer

this is typical modelsim error - i think it bcause you have lack of memory on your computer for the simulation.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top