Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for documents about timing analysis

Status
Not open for further replies.

prakash13

Member level 1
Joined
Sep 15, 2006
Messages
36
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
1,537
about timing analysis

hai
i am new to the signal integrity. i dont know anything about timing analysis
if any body have good document for timing please post it.

thanks in advance
prakash
 

Re: about timing analysis

Hi,

Search in this forum,you will get the information for what ur looking for....



Regards

Ramesh
 

    prakash13

    Points: 2
    Helpful Answer Positive Rating
about timing analysis

dear, give me ur yahoo ID,
i have a book on signal integty.i will send it to you there
 

    prakash13

    Points: 2
    Helpful Answer Positive Rating
about timing analysis

i searched in this forum but i found one thing only.
and in that links r not opening
regards
prakash

Added after 1 minutes:
 

Re: about timing analysis

Hi prakash timing analysis can be done to verfiy whether we are meating thse stup time and hold time values.
The main interfaces for the timing analysis are
1.Common clocking:in this a seperate clock is used to drive both the driver and the receiver.exm:all the SDRAM interfaces are this type.
2.Source synchronous iterfaces:in this driver is used to send the both clock and the data siganls.Exm:ddr interfces.

i am attaching the equations for calculating the setup and hold margins
 

Re: about timing analysis

see it
 

Re: about timing analysis

u can refer "High-Speed Digital System Design" by hall for more clear explanation

Added after 3 minutes:

see it.It gives the explanation
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top