Looking for convert in vhdl from real to std_logic_vector

Status
Not open for further replies.

jony

Newbie level 5
Joined
Feb 21, 2005
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,362
convert in vhdl

i need to convert from real => std_logic_vector but i couldn't find the right function
can somebody help me ?
 

Re: convert in vhdl

you can try with exempler library
 

Re: convert in vhdl

With altera Max+plusII try conv_std_logic_vector...
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…